david@laptop-peaq:~\$ ghdl -a quine0042.vhdl david@laptop-peaq:~\$ ghdl -r testbench --wave=wave.ghw david@laptop-peaq:~\$ gtkwave wave.ghw
Und ich habe mir irgendwie gedacht, ich solle zur Abwechslung mal
david@laptop-peaq:~\$ ghdl remove
aufrufen - ich dachte, mir, da ist was altes im Speicher. als ich das VHDL Programm jetzt übersetzte, kam
/usr/bin/ghdl-mcode:error: cannot find entity or configuration testbench
Und jetzt wurde mir klar. Im VHDL Code hatte ich testbench unbenannt in testbench0042. Mir ist das schon klar, allerdings habe ich nicht darüber nachgedacht, also bei der Übersetzung und - das hat etwas lange gedauert.