<?php session_start (); ?> <form method="POST" action="./form20240521.php"> <input type="text" name="form20240521a"></input> <input type="submit"></submit> </form> <?php echo session_id () . "<br>n"; setcookie ("form20240521b", "Dies ist der erste Keks", time () + 1200); echo htmlentities (\$_POST ["form20240521a"]) . "<br>n"; echo htmlentities (\$_COOKIE ["form20240521b"]) . "<br>n"; echo htmlentities (\$_COOKIE ["form20240521c"]) . "<br>n"; session_destroy (); ?>
Trying ::1... Connected to localhost. Escape character is '\^]'. HTTP/1.1 200 OK Date: Tue, 21 May 2024 16:40:17 GMT Server: Apache/2.4.57 (Debian) Set-Cookie: PHPSESSID=3ur539gai46nfcveepk8dvkr3c; path=/ Expires: Thu, 19 Nov 1981 08:52:00 GMT Cache-Control: no-store, no-cache, must-revalidate Pragma: no-cache Set-Cookie: form20240521b=Dies%20%20ist%20der%20erste%20Keks; expires=Tue, 21 May 2024 17:00:17 GMT; Max-Age=1200 Vary: Accept-Encoding Content-Length: 224 Content-Type: text/html; charset=UTF-8 <form method="POST" action="./form20240521.php"> <input type="text" name="form20240521a"></input> <input type="submit"></submit> </form> 3ur539gai46nfcveepk8dvkr3c<br> Ich bin das Date<br> <br> Ich bin der zweite Keks<br> Trying ::1... Connected to localhost. Escape character is '\^]'. HTTP/1.1 200 OK Date: Tue, 21 May 2024 16:40:17 GMT Server: Apache/2.4.57 (Debian) Set-Cookie: PHPSESSID=3ur539gai46nfcveepk8dvkr3c; path=/ Expires: Thu, 19 Nov 1981 08:52:00 GMT Cache-Control: no-store, no-cache, must-revalidate Pragma: no-cache Set-Cookie: form20240521b=Dies%20%20ist%20der%20erste%20Keks; expires=Tue, 21 May 2024 17:00:17 GMT; Max-Age=1200 Vary: Accept-Encoding Content-Length: 224 Content-Type: text/html; charset=UTF-8 <form method="POST" action="./form20240521.php"> <input type="text" name="form20240521a"></input> <input type="submit"></submit> </form> 3ur539gai46nfcveepk8dvkr3c<br> Ich bin das Date<br> <br> Ich bin der zweite Keks<br>
POST http://localhost/mysql20240217/20240521/form20240521.php HTTP/1.1 host: localhost Cookie: form20240521c=Ich bin der zweite Keks Content-Length: 30 Content-Type: application/x-www-form-urlencoded form20240521a=Ich bin das Date POST http://localhost/mysql20240217/20240521/form20240521.php HTTP/1.1 host: localhost Cookie: form20240521c=Ich bin der zweite Keks Content-Length: 30 Content-Type: application/x-www-form-urlencoded form20240521a=Ich bin das Date
<?php session_start (); include ("/home/david/mysqldata.php"); \$db = new PDO ("mysql: host=localhost", \$MYSQL_USER, \$MYSQL_PASSWORD); \$sql = "CREATE DATABASE mysql20240521" . session_id () . "; "; \$db->query (\$sql); \$sql = "USE mysql20240521" . session_id () . "; "; \$db->query (\$sql); \$sql = "CREATE TABLE a (x1 INTEGER, x2 INTEGER); CREATE TABLE b (y1 INTEGER, y2 INTEGER); "; \$db->query (\$sql); \$sql = "INSERT INTO a (x1, x2) VALUES (0, 0); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (0, 1); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (1, 0); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (1, 1); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (2, 7); "; \$sql .= "INSERT INTO b (y1, y2) VALUES (0, 1); "; \$sql .= "INSERT INTO b (y1, y2) VALUES (1, 0); "; \$sql .= "INSERT INTO b (y1, y2) VALUES (2, 7); "; \$db->query (\$sql); \$sql = "SELECT x1, x2 FROM a; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", " . \$row [1] . "; "; echo "<br>n"; \$sql = "SELECT y1, y2 FROM b; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", " . \$row [1] . "; "; echo "<br>n"; \$sql = "SELECT x1, x2, y1, y2 FROM a INNER JOIN b ON a.x1 = b.y1; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", " . \$row [1] . ", " . \$row [2] . ", " . \$row [3] . "; "; echo "<br>n"; \$sql = "DROP DATABASE mysql20240521" . session_id () . "; "; \$db->query (\$sql); session_destroy (); ?> <?php session_start (); include ("/home/david/mysqldata.php"); \$db = new PDO ("mysql: host=localhost", \$MYSQL_USER, \$MYSQL_PASSWORD); \$sql = "CREATE DATABASE mysql20240521" . session_id () . "; "; \$db->query (\$sql); \$sql = "USE mysql20240521" . session_id () . "; "; \$db->query (\$sql); \$sql = "CREATE TABLE a (x1 INTEGER, x2 INTEGER); CREATE TABLE b (y1 INTEGER, y2 INTEGER); "; \$db->query (\$sql); \$sql = "INSERT INTO a (x1, x2) VALUES (0, 0); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (0, 1); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (1, 0); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (1, 1); "; \$sql .= "INSERT INTO a (x1, x2) VALUES (2, 7); "; \$sql .= "INSERT INTO b (y1, y2) VALUES (0, 1); "; \$sql .= "INSERT INTO b (y1, y2) VALUES (1, 0); "; \$sql .= "INSERT INTO b (y1, y2) VALUES (2, 7); "; \$db->query (\$sql); \$sql = "SELECT x1, x2 FROM a; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", " . \$row [1] . "; "; echo "<br>n"; \$sql = "SELECT y1, y2 FROM b; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", " . \$row [1] . "; "; echo "<br>n"; \$sql = "SELECT x1, x2, y1, y2 FROM a INNER JOIN b ON a.x1 = b.y1; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", " . \$row [1] . ", " . \$row [2] . ", " . \$row [3] . "; "; echo "<br>n"; \$sql = "DROP DATABASE mysql20240521" . session_id () . "; "; \$db->query (\$sql); session_destroy (); ?>
0, 0; 0, 1; 1, 0; 1, 1; 2, 7; <br> 0, 1; 1, 0; 2, 7; <br> 0, 0, 0, 1; 0, 1, 0, 1; 1, 0, 1, 0; 1, 1, 1, 0; 2, 7, 2, 7; <br>
<?php session_start (); include ("/home/david/mysqldata.php"); \$db = new PDO ("mysql: host=localhost", \$MYSQL_USER, \$MYSQL_PASSWORD); \$sql = "CREATE DATABASE q20240521" . session_id () . "; "; \$db->query (\$sql); \$sql = "USE q20240521" . session_id () . "; "; \$db->query (\$sql); \$sql = "CREATE TABLE a (x INTEGER); CREATE TABLE b (x INTEGER); CREATE TABLE c (x INTEGER); "; \$db->query (\$sql); for (\$i = 0; \$i < 64; \$i++) { \$sql = "INSERT INTO a (x) VALUES (" . rand () % 32 . "); "; \$sql .= "INSERT INTO b (x) VALUES (" . rand () % 64 . "); "; \$sql .= "INSERT INTO c (x) VALUES (" . rand () % 128 . "); "; \$db->query (\$sql); } \$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM ( SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x INTERSECT SELECT x FROM c ) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM ( SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x UNION SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x ) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM ( SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x INTERSECT SELECT x FROM b ) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM ( SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x UNION SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x ) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM ( SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x INTERSECT SELECT x FROM a ) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "SELECT x FROM ( SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x UNION SELECT x FROM (SELECT x FROM c INTERSECT SELECT x FROM a) x ) x ORDER BY x; "; \$stmt = \$db->query (\$sql); while (\$row = \$stmt -> fetch ()) echo \$row [0] . ", "; echo "; <br>n"; \$sql = "DROP DATABASE q20240521" . session_id () . "; "; \$db->query (\$sql); session_destroy (); ?>
0, 1, 2, 3, 4, 5, 6, 7, 8, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 35, 36, 40, 41, 42, 43, 44, 46, 47, 48, 49, 51, 53, 54, 55, 57, 59, 61, 62, 63, ; <br> 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 44, 46, 49, 52, 56, 57, 60, 64, 69, 71, 72, 78, 79, 81, 82, 85, 96, 98, 101, 113, 115, 119, 122, 123, 127, ; <br> 0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 27, 28, 29, 30, 32, 33, 34, 35, 36, 37, 40, 41, 42, 43, 44, 46, 47, 48, 49, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 63, 64, 69, 71, 72, 78, 79, 81, 82, 85, 96, 98, 101, 113, 115, 119, 122, 123, 127, ; <br> 0, 3, 4, 6, 14, 15, 22, 24, 27, 28, 30, ; <br> 0, 3, 4, 6, 14, 15, 22, 24, 27, 28, 30, ; <br> 0, 2, 3, 4, 14, 22, 24, 27, 32, 33, 35, 36, 44, 46, 49, 57, ; <br> 0, 2, 3, 4, 6, 14, 15, 22, 24, 27, 28, 30, 32, 33, 35, 36, 44, 46, 49, 57, ; <br> 0, 2, 3, 4, 6, 14, 15, 22, 24, 27, 28, 30, 32, 33, 35, 36, 44, 46, 49, 57, ; <br> 0, 2, 3, 4, 5, 7, 8, 10, 11, 13, 14, 16, 17, 18, 20, 21, 22, 24, 25, 27, 29, 32, 33, 35, 36, 44, 46, 49, 57, ; <br> 0, 2, 3, 4, 5, 7, 8, 10, 11, 13, 14, 16, 17, 18, 20, 21, 22, 24, 25, 27, 29, 32, 33, 35, 36, 44, 46, 49, 57, ; <br> 0, 3, 4, 5, 6, 7, 8, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 25, 27, 28, 29, 30, ; <br> 0, 3, 4, 5, 6, 7, 8, 10, 11, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 25, 27, 28, 29, 30, ; <br>
#!/bin/bash if [[ "\$1" == "David" \&\& "\$2" == "Vajda" ]] then echo "Hallo, das bin ich" elif [[ "\$1" == "David" \&\& -z "\$2" ]] then echo "Hallo, das bin ich" elif [[ "\$1" == "David" \&\& -z "\$2" ]] then echo "Das koennte ich sein" elif [[ "\$1" == "Vajda" \&\& -z "\$2" ]] then echo "Das koennte ich sein" elif [[ -n "\$1" ]] then echo "das bin ich nicht" else echo "Hallo Welt" i=0 while [ \$i -lt 10 ] do echo "Hallo zum \$((\$i+1))." i=\$((\$i+1)) done a=(Hallo das sage ich) a+=(Aber auch das) i=0 while [ \$i -lt 7 ] do echo "\${a[\$i]}" i=\$((\$i+1)) done for s in "\${a[@]}" do echo "\$s" done l=\$(ls) for s in \$l do echo "\$s" done fi
#!/bin/bash if [[ "\$1" == "David" \&\& "\$2" == "Vajda" ]] then echo "Hallo, das bin ich" elif [[ "\$1" == "David" \&\& -z "\$2" ]] then echo "Hallo, das bin ich" elif [[ "\$1" == "David" \&\& -z "\$2" ]] then echo "Das koennte ich sein" elif [[ "\$1" == "Vajda" \&\& -z "\$2" ]] then echo "Das koennte ich sein" elif [[ -n "\$1" ]] then echo "das bin ich nicht" else echo "Hallo Welt" i=0 while [ \$i -lt 10 ] do echo "Hallo zum \$((\$i+1))." i=\$((\$i+1)) done a=(Hallo das sage ich) a+=(Aber auch das) i=0 while [ \$i -lt 7 ] do echo "\${a[\$i]}" i=\$((\$i+1)) done for s in "\${a[@]}" do echo "\$s" done l=\$(ls) for s in \$l do echo "\$s" done fi
#!/bin/bash /bin/bash bash20240521.sh "David" "Vajda" /bin/bash bash20240521.sh "David Vajda" /bin/bash bash20240521.sh "David" /bin/bash bash20240521.sh "Vajda" /bin/bash bash20240521.sh "Max Mustermann" /bin/bash bash20240521.sh
Hallo, das bin ich das bin ich nicht Hallo, das bin ich Das koennte ich sein das bin ich nicht Hallo Welt Hallo zum 1. Hallo zum 2. Hallo zum 3. Hallo zum 4. Hallo zum 5. Hallo zum 6. Hallo zum 7. Hallo zum 8. Hallo zum 9. Hallo zum 10. Hallo das sage ich Aber auch das Hallo das sage ich Aber auch das 1461-boyens_draeger_hanse.jpg addressdecodertestbench.c akjs alllinks.sh a.out asm15 asm16 asm202404all.jpg asm202404all.odg asm20240520-1.jpg asm20240520.aux asm20240520.log asm20240520.pdf asm20240520.tex automat15 automat15.c automat20240520-1.jpg automat20240520.aux automat20240520.csv automat20240520.log automat20240520.pdf automat20240520.tex automat20240520.txt bash20240520all.sh bash20240520.out bash20240520.sh bash20240521all.sh bash20240521.sh bash20240521.txt Bilder bin20240520.txt binary2 binary2.c binomial20240414a.c crypto_from_scratch.txt deepsearch1.c deepsearch2 deepsearch2.c doc Dokumente dos-inst Downloads float.c fsmprogs generategraphs10.c generategraphs11 generategraphs11.c generategraphs2.c generategraphs3.c generategraphs4 generategraphs4.c generategraphs5.c generategraphs6.c generategraphs7.c generategraphs8.c generategraphs9.c generategraphs.c generatetestbench2 generatetestbench3 generatetestbench4 generatetestbench5 gnu-hello graph20240521.csv ieee754aufgabe ieee754aufgabe2.c ieee754aufgabe.c ieee754aufgabe.o inst mail Mars matlab mips32singlecycle2.vhdl mips32singlecycle.vhdl missfont.log Musik mydaemontestd mydata.txt myfind.sh mysqldata.php OEffentlich out2.txt out.txt password password20240326.txt quine quine20240520.txt quine20240520.vhdl replace.sh robertsedgewickgraph01.c Schreibtisch schulschriften.aux schulschriften.log Screenshot_20240520_085520.png Screenshot_20240520_164435.png Screenshot_20240521_003411.png Screenshot_20240521_183655.png state20240520.txt state3 svg tagebuch.txt texput.log tosed01.txt tosed0201.txt tosed0202.txt tosed0301.txt tosed0302.txt tosed03.txt tosed0401.txt tosed0402.txt tosed04.txt Videos VirtualBox VMs Vorlagen wave.ghw work-obj93.cf
1.) Rechne die Zahl in binaer Darstellung in eine Dezimale Darstellung um 0001011001100111b 5735d 2.) Rechne die Zahl in dezimal darstellung in eine Binaerdarstellung um 22940 0101100110011100 3.) Addiere die drei Zahlen schriftlich 18686 + 23203 + 61591 ----------------- 103480 4.) Subtrahiere die letzten drei Zahlen schriftlich von der ersten schriftlich 538 - 9950 - 8389 - 7294 ----------------- -25095 5.) Rechne die Zahl ins zweier komplement um, mit 8 Bit - und subtrahiere diese zahl von der ersten und rechne das Ergebnis nach dezimal 126 -72 = 54 01111110 10111000 = 00110110 6.) Multipliziere die zwei Zahlen schriftlich 1302*16795 = 21867090 7.) Dividiere die zwei Zahlen schriftlich 27909/60751 = 0 8.) Errechne x Logarithmisch mit dem Taschenrechner 60192\^x = 435698461 Rechne die Zahl in IEEE-754 um 8234.496094
0 0 0 0 0 0 1 0 0 0 1 1 2 0 0 1 0 0 3 0 0 1 1 1 4 0 1 0 0 0 5 0 1 0 1 0 6 0 1 1 0 1 7 0 1 1 1 1 8 1 0 0 0 0 9 1 0 0 1 0 10 1 0 1 0 1 11 1 0 1 1 1 12 1 1 0 0 0 13 1 1 0 1 1 14 1 1 1 0 0 15 1 1 1 1 0 1 0 0 0 1 1 3 0 0 1 1 1 6 0 1 1 0 1 7 0 1 1 1 1 10 1 0 1 0 1 11 1 0 1 1 1 13 1 1 0 1 1 Gruppe 1: 1 0 0 0 1 1 Gruppe 2: 3 0 0 1 1 1 6 0 1 1 0 1 10 1 0 1 0 1 Gruppe 3: 7 0 1 1 1 1 11 1 0 1 1 1 13 1 1 0 1 1 1:3 0 0 - 1 3:7 0 - 1 1 3:11 - 0 1 1 6:7 0 1 1 - 10:11 1 0 1 - 13 1 1 0 1 6:7 0 1 1 - 10:11 1 0 1 - 1:3 0 0 - 1 3:7 0 - 1 1 3:11 - 0 1 1 13 1 1 0 1 0 0 0 0 0 0 1 0 0 0 1 1 1 2 0 0 1 0 0 3 0 0 1 1 1 3 4 0 1 0 0 0 5 0 1 0 1 0 6 0 1 1 0 1 6 7 0 1 1 1 1 7 8 1 0 0 0 0 9 1 0 0 1 0 10 1 0 1 0 1 10 11 1 0 1 1 1 11 12 1 1 0 0 0 13 1 1 0 1 1 13 14 1 1 1 0 0 15 1 1 1 1 0 1 3 6 7 10 11 13 6:7 * * 10:11 * * 1:3 * * 3:7 * * 3:11 * * 13 * 1 3 6 7 10 11 13 6:7 * * p 10:11 * * p 1:3 * * 3:7 * * 3:11 * * 13 * p 1 3 6 7 10 11 13 6:7 * * p 10:11 * * p 1:3 * * 13 * p 6:7 0 1 1 - 10:11 1 0 1 - 1:3 0 0 - 1 13 1 1 0 1 y <= (not x3 and x2 and x1) or (x3 and not x2 and x1) or (not x3 and not x2 and x0) or (x3 and x2 and not x1 and x0); library ieee; use ieee.std_logic_1164.all; entity quine20240521 is port ( x3, x2, x1, x0: in std_logic; y: out std_logic ); end; architecture behaviour of quine20240521 is begin y <= (not x3 and x2 and x1) or (x3 and not x2 and x1) or (not x3 and not x2 and x0) or (x3 and x2 and not x1 and x0); end; library ieee; use ieee.std_logic_1164.all; entity quine20240521testbench is port ( y: out std_logic ); end; architecture behaviour of quine20240521testbench is component quine20240521 port ( x3, x2, x1, x0: in std_logic; y: out std_logic ); end component; signal x3, x2, x1, x0: std_logic; begin q: quine20240521 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);
library ieee; use ieee.std_logic_1164.all; entity quine20240521 is port ( x3, x2, x1, x0: in std_logic; y: out std_logic ); end; architecture behaviour of quine20240521 is begin y <= (not x3 and x2 and x1) or (x3 and not x2 and x1) or (not x3 and not x2 and x0) or (x3 and x2 and not x1 and x0); end; library ieee; use ieee.std_logic_1164.all; entity quine20240521testbench is port ( y: out std_logic ); end; architecture behaviour of quine20240521testbench is component quine20240521 port ( x3, x2, x1, x0: in std_logic; y: out std_logic ); end component; signal x3, x2, x1, x0: std_logic; begin q: quine20240521 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y); x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns; x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns; x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns; x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns; end;
Zustand Folge-Zustand fuer Ausgang x=0 x=1 1 5 4 0 2 7 4 1 3 7 1 1 4 2 7 1 5 1 4 1 6 2 7 1 7 4 2 1 8 2 4 0 1 5 4 0 8 2 4 0 (1,8) (5,2) (4,4) 2 7 4 1 3 7 1 1 4 2 7 1 5 1 4 1 6 2 7 1 7 4 2 1 (2,3) (7,7) (3,1) (2,4) (7,2) (3,7) (2,5) (7,1) (3,4) (2,6) (7,2) (3,7) (2,7) (7,4) (3,2) (3,4) (7,2) (1,7) (3,5) (7,1) (1,4) (3,6) (7,2) (1,7) (3,7) (7,4) (1,2) (4,5) (2,1) (7,4) (4,6) (2,2) (7,7) (4,7) (2,4) (7,2) (5,6) (1,2) (4,7) (5,7) (1,4) (4,2) (6,7) (2,4) (7,2) (1,8) (2,5) (2,3) (1,3) (2,4) (2,7) (3,7) (2,5) (1,7) (3,4) (2,6) (2,7) (3,7) (2,7) (4,7) (2,3) (3,4) (2,7) (1,7) (3,5) (1,7) (1,4) (3,6) (2,7) (1,7) (3,7) (4,7) (1,2) (4,5) (1,2) (7,4) (4,6) (2,2) (7,7) (4,7) (2,4) (2,7) (5,6) (1,2) (4,7) (5,7) (1,4) (2,4) (6,7) (2,4) (2,7) (1,8) (2,5) --(2,3) --(1,3) (2,4) (2,7) (3,7) --(2,5) --(1,7) (3,4) (2,6) (2,7) (3,7) --(2,7) (4,7) --(2,3) --(3,4) --(2,7) (1,7) --(3,5) --(1,7) (1,4) --(3,6) --(2,7) (1,7) --(3,7) (4,7) --(1,2) --(4,5) --(1,2) (7,4) --(4,6) --(2,2) --(7,7) --(4,7) (2,4) --(2,7) --(5,6) --(1,2) (4,7) --(5,7) --(1,4) (2,4) --(6,7) (2,4) --(2,7) (1,8) (2,5) --(2,3) --(1,3) (2,4) (2,7) (3,7) --(2,5) --(1,7) (3,4) (2,6) (2,7) (3,7) --(2,7) (4,7) --(2,3) --(3,4) --(2,7) (1,7) --(3,5) --(1,7) (1,4) --(3,6) --(2,7) (1,7) --(3,7) (4,7) --(1,2) --(4,5) --(1,2) (7,4) --(4,6) --(2,2) --(7,7) --(4,7) (2,4) --(2,7) --(5,6) --(1,2) (4,7) --(5,7) --(1,4) (2,4) --(6,7) (2,4) --(2,7) --(1,8) -- (2,5) --(2,4) --- (2,7) (3,7) --(2,6) -- (2,7) (3,7)
Zustand,Eingabe,Ausgabe,Folgezustand 1,0,0,4 1,1,0,4 2,0,0,1 2,1,0,3 3,0,0,3 3,1,1,2 4,0,0,2 4,1,0,1 z1+ := (z2 and not x) or (z4 and x) z2+ := (z3 and x) or (z4 and not x) z3+ := (z2 and x) or (z3 and not x) z4+ := z1 y := (z3 and x)