Search found 30 matches
- Tue Dec 10, 2024 2:05 pm
- Forum: uebungen
- Topic: Schaltwerk 2024-12-10
- Replies: 1
- Views: 949
Re: Schaltwerk 2024-12-10
Kein Komplexes Schaltwerk, anderes uebungsprogramm als sonst Uebungsprogramm #include <stdio.h> #include <stdlib.h> #include <string.h> #include <time.h> #define MAX_SPECIAL_STATES 3 #define MAX_STATES 4 #define MIN_STATE 1 #define SPECIAL_STATE_1 1 #define SPECIAL_STATE_2 2 #define SPECIAL_STATE_3 ...
- Tue Dec 10, 2024 2:03 pm
- Forum: uebungen
- Topic: Schaltwerk 2024-12-10
- Replies: 1
- Views: 949
Schaltwerk 2024-12-10
Komplexes Schaltwerk - das steuerwerk ist nicht 100% richtig, aber das ist gerade egal
- Sat Dec 07, 2024 4:55 am
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
Re: MIPS32 in VHDL
-- mit store - word - kurze pause entity opdecode is port ( opcode: in std_logic_vector (5 downto 0); memtoreg: out std_logic; memwrite: out std_logic; branch: out std_logic; alusrc: out std_logic; regdst: out std_logic; regwrite: out std_logic; aluop: out std_logic_vector (1 downto 0) ); end ...
- Sat Dec 07, 2024 3:32 am
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
Re: MIPS32 in VHDL
architecture behaviour of ALU is component ripplecarrychainadder32 port ( a: in std_logic_vector (31 downto 0); b: in std_logic_vector (31 downto 0); c: out std_logic_vector (31 downto 0); s: in std_logic; t: out std_logic ); end component; component sub32 port ( a: in std_logic_vector (31 downto 0 ...
- Fri Dec 06, 2024 8:35 pm
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
Re: MIPS32 in VHDL
Zu dem Blockschaltbild - 1.) R-Typ 2.) I-Typ 3.) J-Typ So weit ich hier sehe, ist kein J-Typ implementiert 1.) R-Typ: Register 2.) I-Typ: Immidiate 3.) J-Typ: Jump Absolut wert im speicher zu dem gesprungen wird Klassischer Additionsbefehl 1.) Intel: ADD AX, BX 2.) MIPS32: add $r0, $r1, $r2 oder ...
- Fri Dec 06, 2024 6:26 pm
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
Re: MIPS32 in VHDL
library ieee; use ieee.std_logic_1164.all; entity opdecode is port ( opcode: in std_logic_vector (5 downto 0); memtoreg: out std_logic; memwrite: out std_logic; branch: out std_logic; alusrc: out std_logic; regdst: out std_logic; regwrite: out std_logic; aluop: out std_logic_vector (1 downto 0 ...
- Fri Dec 06, 2024 6:14 pm
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
Re: MIPS32 in VHDL
Befehle weiss ich auswendig lb, lbu: laden eines bytes lh, lhu: laden eines halbwortes lw ld* la* li* sb sh sw sd* Lade und Speicherarchitektur Laden - Load - Speicher-Register Speichern - Store - Register-Speicher MOVE - Register-Register li, ldi, ... Laden eines direktwerts in ein Register ...
- Fri Dec 06, 2024 6:08 pm
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
Re: MIPS32 in VHDL
Vorzeichenerweiterungseinheit: 16 Bit relative Werte 16 Bit Zweierkomplement. Betrag: 15 Bit + 1 Bit Vorzeichen 15 Bit: 16384 = 16k Vor und zurueck oder positiv negativ immidiate Aber 16 Bit Wert. wird zu 32, z.B. fuer Addition in ALU Vorzeichenerweiterungseinheit: 16 bit unsigned kein problem zu 32 ...
- Fri Dec 06, 2024 4:51 pm
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
- Fri Dec 06, 2024 6:19 am
- Forum: uebungen
- Topic: MIPS32 in VHDL
- Replies: 22
- Views: 5115
Re: MIPS32 in VHDL
-- (C) David Vajda -- MIPS32 - minimal -- 2024-12-04 library ieee; use ieee.std_logic_1164.all; entity rslatch is port ( r: in std_logic; s: in std_logic; q: inout std_logic; p: inout std_logic; reset: in std_logic ); end; architecture behaviour of rslatch is begin q <= (r nor p) and not reset; p ...